Intel Foundry varca una nuova frontiera nella produzione di chip con High NA EUV

redazione

Intel Foundry ha segnato uno spartiacque nella produzione avanzata di semiconduttori completando l’assemblaggio del primo scanner litografico High Numerical Aperture (High NA) Extreme Ultraviolet (EUV) commerciale del settore presso il proprio sito di ricerca e sviluppo di Hillsboro, Oregon. Il tool TWINSCAN EXE:5000 High NA EUV di Intel, fornito da ASML, leader nella litografia, sta ora attraversando le fasi di calibrazione in vista della definizione della futura roadmap dei processi di Intel. Il nuovo tool consente di migliorare notevolmente la risoluzione e il ridimensionamento delle funzionalità per i processori di nuova generazione, modificando il design dell’ottica per proiettare le immagini stampate su un wafer di silicio.

“Con l’aggiunta dell’High NA EUV, Intel disporrà del toolbox di litografia più completo del settore per portare le future capacità di processo oltre Intel 18A nella seconda metà di questo decennio”.

  • Mark Phillips, Intel Fellow e director of Lithography, Hardware and Solutions for Intel Foundry Logic Technology Development

Perché è importante: I tool High NA EUV svolgeranno un ruolo fondamentale nello sviluppo di chip avanzati e nella produzione di processori di nuova generazione. Intel Foundry, prima azienda del settore ad adottare l’High NA EUV, sarà in grado di fornire precisione e scalabilità senza precedenti, consentendo la produzione di chip con le caratteristiche e le capacità più innovative, essenziali per sostenere i progressi nell’intelligenza artificiale e altre tecnologie emergenti.

ASML ha recentemente annunciato di aver stampato le prime linee a 10 nanometri (nm) al mondo nel proprio laboratorio High NA di Veldhoven, Paesi Bassi. Queste sono le linee più sottili mai stampate e stabiliscono una risoluzione da record mondiale per uno scanner litografico EUV. Si tratta di una dimostrazione della validità dell’innovativo design dell’ottica High NA EUV di Zeiss, partner di ASML.

È stato ottenuto un risultato rivoluzionario dopo che l’ottica, i sensori e le fasi del tool hanno completato la calibrazione grezza, primo passaggio verso il funzionamento con specifiche finali. La capacità di ASML di stampare linee di 10 nm con un sistema di litografia ottica full field è un passo fondamentale verso la preparazione del tool High NA EUV all’utilizzo commerciale.

Come funziona: Usato in combinazione con le altre funzionalità tecnologiche di processo di Intel Foundry, si prevede che High NA EUV sarà in grado di stampare componenti fino a 1,7 volte più piccoli rispetto a quanto possibile con gli strumenti EUV esistenti. Ciò consentirà il ridimensionamento delle funzionalità 2D, con conseguente densità fino a 2,9 volte maggiore. Intel continua ad aprire la strada verso modelli sempre più piccoli e sempre più densi portando avanti la Legge di Moore in tutto il settore dei semiconduttori.

Rispetto allo 0,33NA EUV, l’High NA EUV (o 0,55NA EUV) fornisce un contrasto di immagine più elevato a pari caratteristiche, utilizzando una quantità inferiore di luce per esposizione e riducendo di conseguenza il tempo necessario per stampare ogni strato, a vantaggio della resa del wafer.

Intel prevede di utilizzare sia lo 0,33NA EUV sia lo 0,55NA EUV insieme ad altri processi di litografia nello sviluppo e produzione di chip avanzati, a partire dai proof point di prodotto su Intel 18A nel 2025 e continuando con la produzione di Intel 14A. L’approccio di Intel ottimizzerà la tecnologia di processo avanzata in termini di costi e prestazioni.

Il ruolo di Intel: Intel collabora con ASML da decenni per guidare l’evoluzione della litografia dalla litografia ad immersione da 193 nm all’EUV e ora all’High NA EUV. Il risultato è TWINSCAN EXE:5000, uno dei più avanzati strumenti di produzione mai costruiti. L’adozione della litografia High NA EUV pone l’azienda in prima linea nell’implementazione della Legge di Moore, portandola nell’era Angstrom.

Il sistema TWINSCAN EXE:5000 è stato trasportato in Oregon in più di 250 casse all’interno di 43 container. Questi sono stati caricati su molteplici aerei cargo atterrati a Seattle. Sono stati poi trasferiti su 20 autocarri per il viaggio verso l’Oregon. Il peso totale di ogni nuovo sistema è di oltre 150 tonnellate. (Vedi infografica/scheda informativa per ulteriori informazioni.)

Intel ha annunciato i propri piani per adottare High NA EUV nel 2021, e nel 2022 ha annunciato insieme ad ASML una collaborazione continuativa per promuovere questa tecnologia avanzata. Intel prevede di acquisire il sistema TWINSCAN EXE:5200B di nuova generazione, con una produttività di oltre 200 wafer all’ora, diventando un pioniere nel settore anche su questo sistema.

Ulteriori informazioni su NA EUV: La litografia high NA EUV è un passaggio evolutivo oltre la litografia EUV, che utilizza una lunghezza d’onda della luce (13,5 nm) che non si trova in natura sulla Terra. La luce viene creata da un potente laser che colpisce una goccia di stagno riscaldata alla temperatura di quasi 220.000 gradi Celsius, quasi 40 volte più della temperatura superficiale media del sole. Questa luce si riflette su una maschera contenente un modello della struttura di circuito desiderata e poi attraverso un sistema ottico costruito con i più accurati specchi mai fabbricati.

L’apertura numerica (NA) è una misura della capacità di raccogliere e focalizzare la luce. Modificando il design dell’ottica utilizzata per proiettare un modello su un wafer, la tecnologia High NA EUV consente un significativo passo avanti nella risoluzione e nelle dimensioni dei transistor. La capacità di creare transistor di queste dimensioni richiede anche nuove strutture di transistor e miglioramenti in altre fasi del processo che Intel sta sviluppando parallelamente all’integrazione del primo sistema High NA EUV.

A proposito del sito di ricerca e sviluppo di Intel Foundry in Oregon: L’Oregon è al centro della ricerca e sviluppo della tecnologia di processo di Intel. Per far spazio a quest’ultima generazione di strumenti di litografia, Intel ha aperto Mod 3 nel 2022, un investimento di oltre 3 miliardi di dollari per estendere la sua fabbrica D1X in Oregon, aggiungendo 25.000 metri quadri di spazio per le camere bianche.

Trasformare la ricerca in prodotti commerciali all’avanguardia è il campo in cui Intel eccelle da oltre 50 anni. Investire in ricerca e sviluppo e in strumenti come High NA EUV aiuterà ad espandere la capacità dell’azienda di produrre chip a livello nazionale e a rivitalizzare la ricerca e sviluppo per raggiungere gli obiettivi economici e di sicurezza nazionali. Intel è pronta a dare il proprio contributo alla crescita della nuova generazione di tecnologia e produzione di semiconduttori statunitensi, oggi e in futuro.